Sematech Advances EUV Technology by Reducing Defects in Mask Blanks

Researchers at SEMATECH North have reached a significant milestone in reducing deposition tool-generated defects in mask blanks used for extreme ultraviolet lithography (EUVL), bringing that technology a step closer to commercial feasibility.
Technologists from SEMATECH, Veeco Instruments Inc., and Asahi Glass achieved an extremely low level of added defects in recent work with Veeco's NEXUS system, an ion beam deposition (IBD) low defect density (LDD) tool for deposition of critical films.

Following a two-year effort to improve tool hardware, process parameters and handling protocols, the technologists deposited EUV multilayers with as few as one defect per mask at 80 nm resolution, which translates into 0.005 defects per square centimeter. A state-of-the-art laser-based defect detection system was used to identify the defects.

“This is good news for the semiconductor industry, because mask blank defect reduction is a critical challenge for bringing EUVL technology into commercial fabs,” said David Krick, program manager for SEMATECH North's Mask Blank Development Center (MBDC). “To put this achievement into perspective, imagine that a mask blank was expanded to the size of North Dakota. A single, 80 nm defect on that blank would be roughly the size of a basketball.”

Giang Dao, SEMATECH lithography director, called the milestone “technical payback” for the investment in SEMATECH North, a joint five-year program between SEMATECH and the University at Albany-State University of New York. SEMATECH North is located at Albany NanoTech, a global center for nanoelectronics research and development and home to the College of Nanoscale Science and Engineering at the University at Albany.

“Without the vision and support of New York's public and academic leaders for SEMATECH North's EUVL program, accomplishments such as this would not be possible,” Dao said.

“As envisioned by Governor George Pataki, Assembly Speaker Sheldon Silver and Majority Leader Joseph Bruno, Albany NanoTech is establishing itself as a major global center for cutting-edge nanoelectronics research. Today's announcement provides further proof that this vision has become reality,” said Alain Kaloyeros, Ph.D., President of Albany NanoTech and Vice President and Chief Administrative Officer of the College of Nanoscale Science and Engineering. “EUV lithography holds tremendous promise for maintaining the historical rate of progress of the nanoelectronics industry,” Kaloyeros added. “SEMATECH North's progress right here at Albany validates its position on the vanguard of EUV development.”

“The R&D work at SEMATECH North has led to important advancements for the entire semiconductor industry, and we are pleased to be part of this collaboration to develop technology critical for next-generation photomasks,” said Robert Oates, vice president and general manager of Veeco's Ion Beam Process Equipment Group. “This milestone further validates IBD as a viable technology for EUV photomask deposition.”

An advanced form of lithography, EUVL uses extremely short wavelength (13.5 nm) light and reflective photomasks to image circuit patterns onto the surface of semiconductor wafers. EUVL-produced semiconductor chips, with features 32 nm wide or smaller, are projected to be as much as 100 times faster and have 1,000 times the memory capacity of today's most powerful computer chips. The photomasks themselves are made from unpatterned mask blanks, which must be entirely free of defects, since any defects on the finished mask could be transferred to the chips, reducing their functionality or making them inoperable.

For years, SEMATECH has led industry efforts to reduce defectivity in EUV mask blanks in order to have those devices available for commercial use before the end of the decade. The recent defectivity accomplishment is seen as an encouraging trend in the MBDC's ultimate goal, which is to enable mask blank suppliers to develop processes that result in defect-free mask blanks, measured at 25 nm resolution, by 2009.

Since current metrology tools cannot reliably detect particles below 80 nm, that ultimate goal is much more challenging, Krick noted. “However, we've demonstrated the best defect reduction metrics seen to date for multilayer films on EUV mask blanks, and we are ahead of our own aggressive internal schedule,” he said.

Citation: Sematech Advances EUV Technology by Reducing Defects in Mask Blanks (2004, December 20) retrieved 26 April 2024 from https://phys.org/news/2004-12-sematech-advances-euv-technology-defects.html
This document is subject to copyright. Apart from any fair dealing for the purpose of private study or research, no part may be reproduced without the written permission. The content is provided for information purposes only.

Explore further

There's a call for a new 'behavior curriculum' in Australian schools. Is that a good idea?

0 shares

Feedback to editors